当前位置 博文首页 > 通信汪的美好生活的博客:CNT10T

    通信汪的美好生活的博客:CNT10T

    作者:[db:作者] 时间:2021-07-11 19:14

    LIBRARY IEEE;
    USE IEEE.STD_LOGIC_1164.ALL;
    USE IEEE.STD_LOGIC_UNSIGNED.ALL;
    ENTITY CNT10T IS
    PORT(CO1:IN STD_LOGIC;
    Q:OUT STD_LOGIC);
    END CNT10T;
    ARCHITECTURE bhv OF CNT10T IS
    SIGNAL Q1:STD_LOGIC_VECTOR(0 TO 3);
    BEGIN
    PROCESS(CO1) BEGIN
    IF CO1’EVENT AND CO1=‘1’ THEN
    IF Q1<“1001” THEN Q1<=Q1+1;
    ELSE Q1<=“0000”;
    END IF;
    END IF;
    IF Q1=9 THEN Q<=‘1’;
    ELSE Q<=‘0’;
    END IF;
    END PROCESS;
    END bhv;

    cs
    下一篇:没有了