当前位置 博文首页 > 杰瑞IC验证的博客:$test$plusargs(),$value$plusargs()怎么用?

    杰瑞IC验证的博客:$test$plusargs(),$value$plusargs()怎么用?

    作者:[db:作者] 时间:2021-07-18 22:22

    各位朋友,在SystemVerilog中有两个函数作用很大,他们是:

    $test$plusargs ( string )
    
    $value$plusargs ( user_string, variable )
    

    如果验证平台是人类的宠物,他们就是人与宠物之间沟通的钥匙。

    如果验证平台是一座雄伟的城堡,他们就是城堡内部与外界联系的电话。

    如果验证平台是一杯奶茶,他们就是喝奶茶的那根吸管!!

    太感动了,这首诗太美了!
    在这里插入图片描述

    01、怎么用?

    相信从这首诗中大家已经很清楚的知道这两个函数什么作用了!没错!

    我们在仿真运行过程中可以“+”很多的命令字符串,而这两个函数就是与这些命令字符串里应外合,从而改变验证平台的!

    上代码!

    if( $test$plusargs ( “JERRY_IS_COOL”)   )
    
                $display(“jerry is so cool !! ”);
    
    else
    
                $display(“jerry is handsome !! ”);
    
    
    int  jerry_face_score;
    
    if( $value$plusargs ( “JERRY_FACE_SCORE= %0d”, jerry_face_score )  )
    
                $display(“^_^ jerry_face_score=%0d ^_^”,  jerry_face_score );
    

    我们在平台中加入如上的代码,然后在仿真运行的命令中加入如下命令:

    +JERRY_IS_COOL
    
    +JERRY_FACE_SCORE=100
    

    最后平台会打印出什么呢?是的,会打印出如下的字符出来:

    jerry is so cool !!
    
    ^_^ jerry_face_score=100 ^_^
    

    我们来分析分析哈,稍微观察不难发现:

    t e s t test testplusargs (“JERRY_IS_COOL”) 这个函数只有一个参数,是一个字符串!只要外面传了这个字符串,那这个函数就会反回1,否则返回0. 如上代码,当我们传“JERRY_IS_COOL”时,就返回了1.

    v a l u e value valueplusargs ( “JERRY_FACE_SCORE= %0d”, jerry_face_score ),这个就更厉害了,两个参数,前面的参数是一个传数据的格式,后面是要改变的变量!我们传+JERRY_FACE_SCORE=100

    结合这个函数是什么意思呢?意思等价于:jerry_face_score=100;

    所以通过上面的分析,各位初学者应该明白这两个函数多牛逼了吧?

    t e s t test testplusargs()意味着我们可以通过外面的标记改平台的逻辑,比如我想给某段代码加个使能,那有了这个就太方便了!

    if( $test$plusargs (“ENABLE”) )
    
    begin
    
            ……
    
    end
    

    外面不传这个ENABLE,它就不使能!

    v a l u e value valueplusargs ( ) 就更厉害了,相当于想传什么值就传什么值啊!你可以在外面给值!当然这个函数不一定给值,还可以给字符串!传字符串时,我们可以在sequence的重载等处,用处非常广哦~

    02、有什么坑?

    各位观众!Jerry要强调的重点来啦!!
    在这里插入图片描述
    大家看这样一段代码!!

    if( $test$plusargs ( “JERRY”)   )
    
           $display(“JERRY”);
    
    
    if( $test$plusargs ( “JERRY1”)   )
    
           $display(“JERRY1”);
    
    
    if( $test$plusargs ( “JERRY11”)   )
    
           $display(“JERRY11”);
    
    
    if( $test$plusargs ( “JERRY111”)   )
    
           $display(“JERRY111”);
    
    
    if( $test$plusargs ( “JERRY_IS_COOL”)   )
    
          $display(“JERRY_IS_COOL”);
    

    如果外面传

     +JERRY11
    

    请问会打印哪句话???

    有人说,这个不是很明显吗?肯定是打印这句:

     JERRY11
    

    注意注意!错了!这就是坑啊!

    这句话其实会打印:

    JERRY
    
    JERRY1
    
    JERRY11
    

    这三句!!

    为什么强调这个,你用这个功能用的很爽的时候突然发现逻辑和想象中的不一样了!也许就是这种坑!

    为什么会打印这三句呢?

    t e s t test testplusargs()其实是由括号里往外匹配,只要外面传的命令有相同的字符串,就算匹配成功!这个字符串可能是外面命令完整的字符串,也可能是外传字符串的子串!!

    所以在此,Jerry建议,就不要打擦边球了!用这个传参数的时候尽量不要产生这种问题,可以多加一些下划线后缀什么的嘛~

    哈哈,好了,今天Jerry就和大家聊的这里,你会了吗?

    cs